From silicon to cloud: CEO Pat Gelsinger shares Intel’s vision of AI everywhere

By Jayesh Shinde | Published 20 Sep 2023 08:16 IST
HIGHLIGHTS
  • Intel CEO Pat Gelsinger kicked off Day 1 of Intel Innovation with a detailed technical vision for AI

  • Gelsinger emphasized how Intel is building AI products and services to enable end users as well as developers

  • At the event, Gelsinger also showcased a Meteor Lake device that's launching on Dec 14, 2023

From silicon to cloud: CEO Pat Gelsinger shares Intel’s vision of AI everywhere
From silicon to cloud: CEO Pat Gelsinger shares Intel’s vision of AI everywhere

In a packed hall full of analysts and the world's media, Intel CEO Pat Gelsinger flagged off what he referred to as the “AI PC” era during his opening keynote at the third edition of Intel Innovation event. Gelsinger demonstrated this with a hitherto unnamed (not to mention unreleased) laptop running on Intel’s Lunar Lake chip, estimated to arrive some time in the second half of 2024. 

This sneak peek into Intel’s platform showed how Audacity, a widely used open-source audio software, can generate a Taylor Swift-like song in a matter of seconds – proving how Intel’s about to launch end user devices like PCs and laptops that can run AI workloads locally without connecting to a cloud-based service.

Tipping his hat to the amazing work being done by the likes of Sam Altman at OpenAI and Jensen Huang at NVIDIA, powering the next set of AI breakthroughs, “The work they’re doing at the high end is really cool, as we move to trillion parameter models and beyond, this is amazing and breaking new science,” noted Gelsinger in his keynote address. “But I’ll tell you what’s even cooler if we put it in the hands of every human on earth,” he emphasized, which is essentially what Intel’s AI PC mission is all about.

Also read: Intel’s Sandra Rivera on future of AI, data centers and India’s tech moment

“AI represents a generational shift, giving rise to a new era of global expansion,” where computing is fundamental to enable a better future for all, according to Gelsinger. For developers, he reinforced how Intel is bringing AI capabilities across its hardware products and making it accessible through open, multi-architecture software solutions, helping them “create solutions to the world’s biggest challenges and to improve the life of every person on the planet.” AI is helping to drive “Siliconomy,” noted Gelsinger, a “growing economy enabled by the magic of silicon and software.” Silicon alone drives a $574 billion industry that in turn powers a global tech economy worth almost $8 trillion, according to Intel.

The work begins with silicon innovation. Intel’s five-nodes-in-four-years process development program is progressing well, Gelsinger said, with Intel 7 already in high-volume manufacturing, Intel 4 manufacturing-ready and Intel 3 on track for the end of this year. Gelsinger also showed an Intel 20A wafer with the first test chips for Intel’s Arrow Lake processor, which is destined for the client computing market in 2024. Intel 20A will be the first process node to include PowerVia, Intel’s backside power delivery technology, and the new gate-all-around transistor design called RibbonFET. Intel 18A, which also leverages PowerVia and RibbonFET, remains on track to be manufacturing-ready in the second half of 2024.

Another way Intel presses Moore’s Law forward is with new materials and new packaging technologies, like glass substrates – a breakthrough Intel announced this week. When introduced later this decade, glass substrates will allow for continued scaling of transistors on a package to help meet the need for data-intensive, high-performance workloads like AI and will keep Moore’s Law going well beyond 2030.

Intel also displayed a test chip package built with Universal Chiplet Interconnect Express (UCIe). Formed last year, the UCIe standard will allow chiplets from different vendors to work together, enabling new designs for the expansion of diverse AI workloads. The open specification is supported by more than 120 companies. The test chip combined an Intel UCIe IP chiplet fabricated on Intel 3 and a Synopsys UCIe IP chiplet fabricated on TSMC N3E process node. The chiplets are connected using embedded multi-die interconnect bridge (EMIB) advanced packaging technology. The demonstration highlights the commitment of TSMC, Synopsys and Intel Foundry Services to support an open standard-based chiplet ecosystem with UCIe.

Welcome to the AI PC era

AI is about to get more personal, too. “AI will fundamentally transform, reshape and restructure the PC experience – unleashing personal productivity and creativity through the power of the cloud and PC working together,” Gelsinger said. “We are ushering in a new age of the AI PC.”

This new PC experience arrives with the upcoming Intel Core Ultra processors, code-named Meteor Lake, featuring Intel’s first integrated neural processing unit, or NPU, for power-efficient AI acceleration and local inference on the PC. Gelsinger confirmed Core Ultra also will launch Dec. 14.

Intel Core Ultra represents an inflection point in Intel’s client processor roadmap: It’s the first client chiplet design enabled by Foveros packaging technology. In addition to the NPU and major advances in power-efficient performance thanks to Intel 4 process technology, the new processor brings discrete-level graphics performance with onboard Intel Arc graphics.

On stage, Gelsinger showed an array of new AI PC use cases, and Jerry Kao, chief operating officer of Acer, gave a sneak peek at an upcoming Acer laptop powered by Core Ultra. “We’ve been co-developing with Intel teams a suite of Acer AI applications to take advantage of the Intel Core Ultra platform,” Kao said, “developing with the OpenVINO toolkit and co-developed AI libraries to bring the hardware to life.”

Empowering the developers

Intel announced the general availability of the Intel Developer Cloud, aimed at helping developers accelerate AI using the latest Intel hardware and software innovations – including Intel Gaudi2 processors for deep learning – and provides access to the latest Intel hardware platforms, present and future. 

Also read: NVIDIA, Reliance join hands to advance AI in India: LLMs in India regional languages coming soon

Additionally, Intel highlighted the 2023.1 release of the Intel Distribution of OpenVINO toolkit, the company’s AI inferencing and deployment runtime of choice for developers on client and edge platforms which includes pre-trained models optimized for integration across operating systems and different cloud solutions, including many generative AI models, such as the Llama 2 model from Meta. Intel also revealed Project Strata, the development of an edge-native software platform by 2024 with modular building blocks, premium service and support offerings.

“AI going forward must deliver more access, scalability, visibility, transparency and trust to the whole ecosystem,” Gelsinger said.

Jayesh Shinde
Jayesh Shinde

Email Email Jayesh Shinde

Follow Us Facebook Logo Facebook Logo

About Me: Cower, puny human, for I am Big Daddy! The ground rumbles beneath my feet, the very air you breathe resonates to my primal roar. Mere mortals, I am the scourge of the n00bs, destroyer of worlds. Fear my might, tremble in my presence. Read More